Skip to main content Skip to navigation

Exhibitors at ECSCRM 2018

Please see below details of exhibitors who are confirmed for ECSCRM 2018:

Exhibition Floor Plan  


A - Z List of Exhibitors


   
Admap mi2-factory
   
Aixtron SE MPI Corporation    
Ascatron Mitsui Bussan Electronics Ltd    
Centrotherm Nanotronics    
Ceramicforum Nissin Ion Equipment    
ClassOne Technology NOVASiC    
Compound Seminconductor Applications Catapult Nuflare    
DISCO Hi-Tec Europe Oxford Instruments    
Dow Chemical Company Revasum    
Epiluvac Rigaku    
EAG Laboratories Semiconductor Technology Research (STR)    
Fraunhofer Semilab    
Freiberg Instruments Showa Denko    
GT Advanced Technologies Silvaco    
II-VI Advanced Materials Sumitomo Electric    
IBS Ion Beam Services TanKeBlue    
JTA Equipment Technology Tokyo Electron Limited    
KITEC Toray Research Centre    
KLA-Tencor Ulvac    
Lasertec Wolfspeed, A Creee Company
   
LPE X-FAB Semiconductor Foundries    

   


Admap

BOOTH D10

Ultra-High Purity, High Heat Resistance and High Wear Resistance Silicon Carbide Products from Original CVD Production Method.

Admap


Aixtron

BOOTH C24

AIXTRON is a leading provider of deposition equipment to the semiconductor industry. The Company's technology solutions are used by a diverse range of customers worldwide to build advanced components for electronic and opto-electronic applications based on compound semiconductor materials, as well as Carbon Nanotubes (CNT) and other nanomaterials. Such components are used in fiber optic communication systems, wireless and mobile telephony applications, optical and electronic storage devices, computing, signalling and lighting, as well as a range of other leading-edge technologies.

Aixtron


Ascatron


BOOTH B28

Ascatron develops next generation Silicon Carbide power semiconductors radically reducing losses in electrical transformers. The high voltage power device products are based on Ascatron™s advanced 3DSiC® material technology with buried doping structures to reduce the surface electric field. This gives very robust devices with lower losses and reliable operation at full power. Target applications are high voltage power electronics >10kW. Ascatron also offers SiC epitaxy services for prototyping with flexible specifications and a range of thicknesses for both n- and p-type doping. A Sweden based scale-up company with background in producing advanced SiC epi material for global customers since 2011.

Ascatron


 Centrotherm


BOOTH B20

Centrotherm has been developing and realizing innovative thermal solutions for over 60 years. As a leading and globally operating technology group, we offer production solutions for the semiconductor and microelectronic industries.

Our equipment is designed for all needs from R&D to mass production and applicable for various semiconductor technologies and applications, such as logic and memory devices (e.g. Flash, DRAM) power semiconductors (e.g. Si, SiC), LED, SMT, MEMS or sensor technology: The product portfolio comprises horizontal and vertical batch furnaces (atmospheric or vacuum processes), vertical high temperature furnaces (annealing < 2000°C, oxidation < 1500°C), single wafer systems < 300mm wafer diameter (RTP, low-temperature microwave oxidation).

Centrotherm International AG


Ceramicforum


BOOTH B36

Ceramicforum has been involved in the SiC market in Japan since the early 2000s starting out as distributor of SiCrystal substrates, later also taking Norstel and Ascatron amongst others on board. While representing wide bandgap semiconductor-related measurement equipment makers, they also started R&D and sales of their very own line of evaluation equipment - easy-to-use tools to check for crystalline stress/defects in semiconductor materials.

Ceramicforum Co. Ltd


Class One


BOOTH D12

ClassOne Technology designs and manufactures new semiconductor manufacturing equipment including advanced wet-chemical process tools - Electroplating Equipment, Electroplating Tools, Spray Solvent Tools, and Spin Rinse Dryers - especially for the cost- sensitive producers of MEMs, Power Devices, RF, LEDs, Photonics, Sensors, Microfluidics and other emerging technologies. While these market segments have long been underserved or ignored by the larger semiconductor processing equipment manufacturers, ClassOne Technology is focused on providing the high-quality wet process and electroplating equipment you require.

We provide innovative new solutions for a range of applications on 3" to 8" substrates of many materials, including silicon, glass, sapphire, GaAs, GaN, Ge, InP and HgCdTe. We make electroplating equipment for development and high-volume production, batch spray solvent processors, spin rinse dryers.

ClassOne Technology


CSA


BOOTH D8

The Compound Semiconductor Applications Catapult is a world-class, open access R&D facility to help businesses exploit advances in compound semiconductor technologies across key application areas such as energy, transport, defence and security, and space. The development and application of SiC wide bandgap power electronics systems is a key focus area of the Catapult.

Compound Semiconductor Applications Catapult


Disco

DISCO is a total solution provider for wafer dicing, grinding and polishing technologies. Manufacturing dicing, grinding, polishing machines, and dicing blades, grinding wheels and polishing pads. Also providing ablation laser and stealth laser cutting, plasma dicing, and other unique solutions.

DISCO HI-TEC EUROPE


Dow


BOOTH D20

Electronics & Imaging (E&I), part of the DowDuPont Specialty Products Division, is a vertically integrated silicon carbide (SiC) manufacturer with locations worldwide to ensure a highly reliable supply of SiC materials. With more than 70 years of proven expertise and renowned customer service, E&I also provides innovative advanced encapsulants, sealants and coatings for its power electronics design partners, providing solutions across the value chain to improve the cost efficiency, durability and performance of the most advanced power electronics components.

Dow Chemical Company


EAG


BOOTH B14

EAG Laboratories is the global leader in materials characterization for the advanced materials supply chain. We specialize in the determination of material identity, composition, purity, contaminant levels and crystal structure using advanced analytical techniques. We can manage highly complex analytical projects and help you meet your goals quickly and confidently. Ask EAG We Know How.

EAG Laboratories


epiluvac


BOOTH C2

Epiluvac AB is a private Swedish company founded 2013 by a team of engineers with decades of experience from research and development of hot-wall SiC epitaxy reactors. For SiC applications Epiluvac offers CVD reactors and crystal growth furnaces. The company also offers CVD reactors and process chambers for applications like GaN epitaxy, graphene and nanowire manufacturing. Epiluvac provides standard reactors as well as customized solutions and various service/maintenance packages. Features offered with Epiluvac CVD reactors include 150/200 mm capability, automatic hot loading/unloading for high throughput and fine tuning of cell temperature for best possible epitaxial uniformity.

Epiluvac


logo-fraunhoferiisb_85mm_p334.png


BOOTH B10

The Fraunhofer Institute for Integrated Systems and Device Technology IISB conducts applied research and development in the fields of power electronics, mechatronics, microelectronics and nanoelectronics. IISB is the leading Fraunhofer institute for SiC research and development in Germany and has been cooperating with international partners for more than 20 years. IISB is offering internationally recognized expertise in terms of SiC services and contract research from materials development and characterization, device manufacturing to module assembly and power electronic systems. IISB operates the P-Fab which is dedicated to technology development and prototype device fabrication on Si and SiC wafers.

Fraunhofer Institute IISB


Freiburg Instruments


BOOTH C4

Freiberg Instruments is one of the fastest growing, young and dynamic analytical instrumentation companies with products and service covering industries like Crystal Growth & Processing, Microelectronics, Photovoltaic and Research & Development. Key products include: Single crystal diffractometer (XRD), automated sorting and stacking devices, electrical semiconductor characterization devices - µPCD/MDP (QSS)

Freiburg Instruments


GTAdvanced


BOOTH B26

GT Advanced Technologies is a diversified technology company with crystalline growth expertise in silicon, silicon carbide and sapphire. Our advanced materials deliver sustained value to the world’s top manufacturers in the global PV, power electronics and photonics markets. Our innovative technologies and industry experience drive the development and commercialization of products that elevate performance, improve quality and lower manufacturing costs.

GT Advanced Technologies


IIVI Advanced Materials


BOOTH B2

II-VI Advanced Materials is a leading global supplier of high quality single crystal SiC substrates. These products enable key technologies across a wide variety of fast growing markets, including mobile communications infrastructure, RF and high power electronics. Please visit www.iiviadvmat.com or www.ii-vi.com for more Information.

II-VI Advanced Materials


IBS


BOOTH C18

Since its foundation in 30 years ago, IBS is the European leader for the manufacturing of ion implantation equipment and implantation foundry services.
IBS offer includes dedicated equipment solutions specifically designed for SiC applications: High temperature automatic handling and implant, 400 keV energy in single charge, ion sources optimized for multi-charged Al implantation.
In parallel, IBS has developed a range of specific technological bricks in its SiC foundry line to meet SiC device manufacturing requirements such as hot implantation, high temperature annealing. With this SiC production line, IBS can design, produce or help you create components based on your requirement.

Ion Beam Services


jta-logo.png


BOOTH B16

The specialists in equipment for production of Silicon Carbide wafer production. Takatori wire saws are the market leader for slicing of SiC and other hard materials such as GaN, AlN.
The Takatori single wafer grinding system is designed for hard wafers such as SiC. Takatori taping systems provide vacuum lamination, temporary bonding capability and metal lift off processing for III-V devices. Gigamat Technologies is the leading polishing system supplier for SiC wafers. Gigamat wafer sorters are the perfect choice for sorting SiC wafers for thickness, warp, site flatness.

JTA


Kitec

BOOTH D6

KITEC is supplying non-contact sheet resistance measurement tools. The M-RES series for semiconductors are available with and without thickness compensation starting from manual R&D tools through mapping systems to fully automated systems. We cover applications such as wafer/substrate measurements and EPI, implant and metallization process monitoring. A bow and warp compensation is integrated in our advanced system.

KITEC


KLA-Tencor

BOOTH A6

With tighter design limits and the escalating need to increase yield and reduce semiconductor manufacturing costs, automated defect inspection to detect and classify defects in compound semiconductor processing is more critical than ever. KLA-Tencor's Candela semiconductor inspection tool combines the elemental principles of scatterometry, ellipsometry, reflectometry, and topographical analysis to detect defects and then to classify defects in substrates, epi-layers, and process films. Candela semiconductor inspection technology is being used to detect and classify defects by industry leaders in Power Device, LED and GaAs, industries to monitor production lines, detect mission-critical defects of interest, and create process-specific recipes to detect and classify killer defects while ignoring nuisance defects.

KLA-Tencor


Lasertec Corporation


BOOTH A12

Lasertec Corporation, founded in 1960, has grown into a world leading innovator of inspection and metrology equipment serving the global semiconductor and related industries. Guided by its corporate philosophy, “Create unique solutions; Create new value,” Lasertec has created several new tools to help companies developing and manufacturing the next generation of wide band gap semiconductors. Tools being highlighted at ECSCRM2017 are SICA88, and GALOIS. SICA88 is a confocal/DIC based inspection/analysis tool not only capable of detecting all the critical defects at high sensitivity but also automatically classify killer defects optimizing to enable the highest yielding devices. GALOIS is the latest addition to the inspection/analysis tool portfolio for customers who deal with GaN and other transparent substrates.

Lasertec Corporation


LPE

BOOTH D2-D4

LPE manufacture SiC Single wafer epitaxial reactor (up to 150mm) with load lock loading with smallest footprint on the market. Outstanding throughput, thanks to high temperature wafer loading unloading automated system and growth rate up to 90u/h."

LPE


mi2-factory

BOOTH D18

mi2-factory GmbH is a German high-tech start-up company with focus on micro-engineering tools for processing semiconductors. We have developed an improved doping technique by means of high-energy ion implantation. The technology is based on an Energy Filter for Ion Implantation (EFII), which allows for very precise, depth-distributed doping of any desired semiconductor material. The EFII technology is offered to semiconductor power device manufacturers who are in particular, but not exclusively, dealing with silicon carbide (SiC) high-voltage Diodes, MOSFETs and Superjunction Devices and also to SiC substrate suppliers. Furthermore, EFII is offered to high-energy ion implantation foundries, ion beam accelerator manufacturers and end-station manufacturers.

mi2-factory


MPI

TABLE TOP DISPLAY IN BOOTH C6

Founded in 1995 and headquartered in Hsinchu, Taiwan, MPI Corporation is a global technology leader in Semiconductor, Light Emitting Diode (LED), Photo Detectors, Lasers, Materials Research, Aerospace, Automotive, Fiber Optic, Electronic Components and more. MPI™s four main business sectors include Probe Card, Photonics Automation, Advanced Semiconductor Test and Thermal Divisions. MPI products range from various advanced probe card technologies, probers, testers, material handlers, inspection and thermal air systems. Many of these products are accompanied by state-of-the-art Calibration and Test & Measurement software suites. The diversification of product portfolio and industries allows a healthy environment for employee growth and retention. Cross pollination of product technologies allows each new innovation to provide differentiation in areas that are meaningful to our precious customer base.

MPI Corporation


Mitsui Bussan logo


BOOTH B24

Mitsui Bussan Electronics Ltd, is a sales distributor of Sumitomo Heavy Industries Ltd., the manufacturer of efficient solid laser annealing system for next generation power devices.
The application of this laser annealing system is Ohmic contact for Silicon Carbide Back Side. Metal-Silicon Carbide interface is heated to a high temperature suppressing the temperature rise in Non- irradiation side, and the generation of the ohmic contact is achieved by the original high-speed laser annealing method.

Mitusi Bussan Electronics Ltd


Nanontronics

BOOTH B32

Nanotronics is changing the way materials and electronics are manufactured. We automate industrial microscopes used for inspection of the world's smallest technologies: semiconductors, microchips, hard drives, LEDs, nano-fillers, nanotubes, and more. nSpec® is an integrated part of production processes at many of the world's leading manufacturers. nSpec® is powered by industrial enterprise software that provides scientists + engineers the first set of tools as advanced as the devices they build.

Nanotronics


NissinIonServices

BOOTH B22


Nissin Ion Equipment aims at promoting a development-based business through the manufacture of various equipment at the core of which are proprietary ion beam and plasma technologies.

IMPHEAT is the only mass production tool for high temperature ion implantation. It features the high throughput operation, the precise angle and dose control, the high temperature doping, and the ability of implant various ion species such as Al, B, N, and P. IMPHEAT is useful to manufacture SiC pn junction at high temperature ion implantation.

Nissin Ion Equipment




Novasic


BOOTH C16

French company NOVASiC, founded in 1995, has a worldwide acknowledged expertise in polishing semiconducting materials like: SiC, GaN, AlN, ZnO, saphire, diamond, Ge, SiGe, CdZnTe, SiO2 etc… This expertise includes the polishing and epi-ready preparation of as-cut wafers, planarization of epilayers and reclaim (recycling) of epiwafers. Since 2006, the polishing activity of NOVASiC is certified ISO 9001 (version 2000). In parallel, NOVASiC has acquired a strong know-how in the field of SiC epitaxy including 4H-SiC homoepitaxy, 3C-SiC heteroepitaxy and CVD reactor development. The epitaxial activity, hosted in CRHEA-CNRS site at Valbonne, was certified ISO 9001 in 2012.

NOVASiC


Nuflare

BOOTH A4

NuFlare’s SiC Epitaxial System EPIREVO™ S6 is based on the same concept and key features as NuFlare’s Si technology (HT2000FD). These key features consist of Vertical Gas Flow, High Speed Wafer Rotation, Direct Wafer Temperature Measurement, and Multi Zone Controlled Solid Heater. With the combination of vertical gas flow and high speed rotation, EPIREVO™ S6 forms an ultra-thin and uniform concentration boundary layer. The boundary layer becomes thinner as the rotation speed increases. The growth rate increases with the rotation speed as the source gas diffusion rate is accelerated by the thinner boundary layer

NuFlare Technology, Inc.


Oxford Instruments

BOOTH C20

We provide etch and deposition process solutions for nanometre sized features, nanolayers and the controlled growth of nanostructures.

These solutions are based on core technologies in plasma, ion beam and atomic layer deposition and etch. Products range from clustered cassette-to-cassette platforms for high-throughput production processing to compact stand-alone systems for R&D.

Oxford Instruments



revasum_png.png

BOOTH A14

Demand for SiC substrates is growing as the demand for SiC-based power and RF devices increases. Yet the adoption of SiC is slowed by cost and by the difficulty of processing the material.
Revasum has developed a streamlined grind and CMP process that eliminates conventional lapping and diamond polishing steps and the associated issues. Revasum’s solution reduces the overall cost to manufacture SiC substrates, in addition to improving quality, productivity and yield -- removing two barriers to more rapid growth in demand for SiC.

Revasum


Rigaku 

BOOTH A16

Rigaku is a pioneer and world leader in designing and manufacturing X-ray based measurement tools to solve semiconductor manufacturing challenges since its inception in 1951. Rigaku specializes in making TXRF to measure surface metal contamination and X-Ray Topography to analyze crystal dislocations. Also, we offer XRF, XRD and XRR metrology tools to measure critical process parameters like thin film: thickness, composition, roughness, density, porosity, and crystal structure.
Products;XRTmicron (X-ray Topography), TXRF3760/3800e, AZX400&3650 (XRF)

Rigaku Corporation


STR

BOOTH B8

Semiconductor Technology Research (STR) provides software and consulting services for development and optimization of industrial growth equipment, improvement of bulk growth (solution growth, PVT, CVI) and epitaxial techniques, engineering of modeling of following applications: modeling of bulk crystal growth, CVD SiC,coating processes. Offered software products include thesemiconductor devices, MOVPE and HVPE of Group-III nitrides, III-V materials and their alloys; simulation of semiconductor devices.

Semiconductor Technology Research (STR)


Semilab USA

BOOTH B30

Semilab USA


Showa Denko


BOOTH A2

Showa Denko provides high-quality grade silicon carbide epitaxial wafers (HGE) for high power devices in both 4'' and 6''.

Showa Denko


Silvaco


BOOTH D16

Silvaco is a leading EDA and IP provider of software tools used for semiconductor process and device development and for analog/mixed-signal, power IC and memory design. Silvaco delivers a full TCAD-to-Sign-off flow for vertical markets including: displays, power electronics, optical devices, radiation and soft error reliability and advanced CMOS process and IP development.

Silvaco


Sumitomo

Sumitomo Electric is ready to deliver excellent quality SiC epitaxial wafer globally. "EpiEra" grade wafers are proudly manufactured with our over 40 years of compound semiconductor experience. The mass-production technology MPZ (Multi-Parameter and Zone controlled SiC growth technology) provides extensive ZERO defect area and high uniformity, which take you to the next SiC generation. Also, our unique V-grooved MOSFET has been offered with high channel mobility on (0338) face.

Sumitomo Electric


TankeBlue


BOOTH C10

TanKeBlue Semiconductor Co., Ltd. is a pioneering and fast-growing company which is engaged in the R&D and manufacturing of high quality single crystal silicon carbide substrates for all kinds of applications. After more than ten years of fruitful R&D and technology accumulation, it has grasped comprehensive core technology and obtained independent patents on both of the silicon carbide crystal growth equipment and the crystal growth & wafer processing technology. TankeBlue will lead the sustainable development in silicon carbide field and provide customers with high quality products with its strong R&D ability, innovative vision and boldness.

TanKeBlue


tel-only-png.png

BOOTH B12

As a leading global supplier of semiconductor and flat panel display (FPD) production equipment, Tokyo Electron Limited (TEL) engages in development, manufacturing, and sales in a wide range of product fields. All of TEL's semiconductor and FPD production equipment product lines maintain high market shares in their respective global segments. TEL provides outstanding products and services to customers through a global network of approximately 74 locations in 16 countries and regions in the U.S., Europe, and Asia.

Tokyo Electron Limited


Toray Research Centre


BOOTH A8

Toray Research Center, Inc. provides you with analysis services using a variety of techniques. Our superior ability to meet the problem-solving requirements of clients is based on a long track record and extensive experience in analysis and material evaluation. We will show you our analytical techniques and some examples of our application results.

Toray Research Centre




Ulvac


BOOTH B34

ULVAC - we are ultimate in Vacuum. Welcome to see you on our booth.

ULVAC GmbH was established in 1987 as the European subsidiary of ULVAC, Inc. Headquartered in Munich, Germany. ULVAC provides a broad portfolio of manufacturing equipment for the vacuum, materials, and thin film industries. ULVAC’s solutions diversely incorporate equipment, materials, analysis, and services for semiconductors, MEMS, flat panel displays, electronic components, PCB, TFB and other equipment.

For SiC device applications ULVAC has developed ion implanting technologies for relative high dose implant (2xE20/cm3) up to 600°C maintaining 4H poly-type structure, activation annealing, oxidation/POA/Nitridization, carbon cap layer sputtering, SiC trench etching, Oxide mask etching, sputtering, evaporation, ashing for both R&D and mass production.

Ulvac


Wolfspeed


BOOTH A10

Wolfspeed, A Cree Company, is liberating power and wireless systems from the limitations of silicon by leading the innovation and commercialisation of the next-generation systems based on silicon carbide and gallium nitride.

Wolfspeed, A Cree Company


Xfab


BOOTH C8

X-FAB has established a 6-inch Silicon Carbide foundry line fully integrated within our 30,000 wafers/month silicon wafer fab located in Lubbock, Texas. With the support of the PowerAmerica Institute, X-FAB’s goal is to accelerate the commercialization of SiC power devices by leveraging the economies of scale, automotive quality system and equipment set that have been established in of its silicon wafer fabrication line.

X-FAB Semiconductor Foundries